Nos informe porque este programa é inadequado. Por favor, não envie várias vezes.

Razão
Mensagem

Enviar    Cancelar

  • Use o bom senso
  • Seja educado. Trate os outros como você gostaria de ser tratado
  • Você só pode usar até 3.000 caracteres por comentário
  • Você não pode comentar o mesmo programa dentro do período de 60 segundos
  • Você não pode postar o mesmo comentário em vários programas.
  • Existe um limite de 100 comentários por usuário no período de 24 horas.

Fechar

meio pronto

de Lorenzo |  v2 |  0 |  3 |  1950 |  1 
Acesse sua conta para comentar e baixar este programa.

Compartilhado há 8 anos e 6 meses atrás - Última modificação em 08/10/2015
Alguns direitos reservados
// meio pronto.c - Criado pelo studio UNO 2.3
// 8 October 2015 1:21:46 pm
 
#include <uno.h>
 
 
// Defines
#define branco 1
#define linha 0
 
// Declaracao das Variaveis
char ir1;
unsigned char ir2;
unsigned char ir3;
unsigned char ir4;
unsigned char ir5;
 
// Prototipos
void inicia(void); 
void le_seguidor(void); 
void le_seguidor(void); 
 
//Funcoes do Usuario
void inicia(void) 
{
     __SmartSensorCmd(2, 1, 14, 0);
}
 
void le_seguidor(void) 
{
     if ((((ir2 == linha) && (ir2 == linha)) && (ir2 == linha))) {
         __motor_curso(__STOP);
     }
     if ((((ir2 == linha) && (ir2 == linha)) && (ir2 == branco))) {
         __motor_curso(__FORWARD);
     }
     if ((((ir2 == linha) && (ir2 == linha)) && (ir2 == branco))) {
         __motor(1, 900);
         __motor(2, 1023);
     }
 
}
 
void le_seguidor(void) 
{
     ir1 = __SeguidorGetDigital(1, 2);
     ir2 = __SeguidorGetDigital(1, 3);
     ir3 = __SeguidorGetDigital(1, 4);
}
 
//Funcao Principal
void main(void)
{
     __inicializa;
     inicia();
     while(TRUE) {
         le_seguidor();
         segue-linha();
     }
 
     while(TRUE) { }
}

Descrição

oooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooopa

3 Comentários

Download


Entre para Baixar

Favorito de


Ninguém marcou este projeto como favorito ainda.

Projetos de Lorenzo


 2    2011   3 
 0    1543   0 
 3    2078   5 
 0    1687   1